Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Research & Development

Gigaphoton hails efficiency progress with EUV source

25 Oct 2016

Japanese firm says its laser-driven plasma source now delivers 100W with 5% efficiency and good stability.

Gigaphoton, the Japanese developer of advanced light sources for semiconductor lithography equipment, says it has set a new benchmark for producing extreme ultraviolet (EUV) radiation, reaching 5 per cent efficiency at an output power of 100 W.

While 5 per cent might not sound particularly impressive, it does represent a significant figure of merit for what is an inherently inefficient process.

Laser plasmas
Both Gigaphoton and ASML, whose production-level EUV lithography tools featuring 125 W sources are now starting to ship to customers in advance of volume manufacturing, are working hard to improve source efficiency as it is one of the ways to make EUV-based chip production more cost-effective.

And the two companies are going about that in a similar way: both employ a laser-driven plasma, firing ultra-high-power carbon dioxide lasers at a stream of tin droplets to create the EUV-emitting plasma, and a pulsed solid-state laser that acts as a “trigger” and improves overall efficiency.

Although the entire plasma generation process has been likened to using a nuclear power station to power a small village, both ASML and Gigaphoton regard it as the best way to produce the 13.5 nm wavelength light needed to make the tiny device features that it is hoped will get Moore’s law back on track.

The International Technology Roadmap for Semiconductors (ITRS) has previously set a target of 180 W output with an EUV conversion efficiency of 5 per cent as its benchmarks for cost-effective lithography with the laser-driven source.

Oyama-based Gigaphoton says that it has now realized a 100 W average EUV output with 5 per cent efficiency, stable operation and a duty rate of 95 per cent.

Drive laser stability key
ASML highlighted the stability issue last week during a conference call with investors, with CEO Peter Wennink noting that ensuring extremely stable drive laser output was the single most important factor behind efforts to improve overall tool availability – a critical performance indicator for chip manufacturers.

Gigaphoton and ASML are closely engaged with laser makers Mitsubishi Electric and Trumpf respectively, eventually targeting 250 W output power EUV sources for full volume production.

CTO Hakaru Mizoguchi, who is scheduled to give a plenary talk at the forthcoming LASE symposium, part of the wider SPIE Photonics West event in San Francisco, said in a Gigaphoton release:

“Our success in achieving a world record 5 per cent conversion efficiency while attaining a 100 W average output in stable operation and high duty rate of 95% with our pilot light source - which is designed to operate in state-of-the-art semiconductor mass production lines - shows that we are very close to the market introduction stage for EUV light sources that will be capable of delivering stable operation, high output, and low running costs.”

In San Francisco, Mizoguchi is set to reveal the latest results of the source development work, including some data relating to Gigaphoton’s pilot 250 W source system.

Droplet size
One of the differences between ASML and Gigaphoton sources is the size of the tin droplets they use, with the Japanese firm saying that its combination of sub-20 µm diameter droplets and an improved solid-state pre-pulse laser are behind the improved efficiency figure.

An updated CO2 laser amplifier from Mitsubishi, as well as a magnetic technique for removing the tin debris that builds up in the source chamber, are also cited as significant contributors to the improved output.

However, the true test of Gigaphoton’s EUV sources will be when semiconductor makers start to deploy the sources on their own pilot lines to establish their stability, reliability and cost-effectiveness. In that respect, ASML remains well ahead of its rival.

• Gigaphoton is set to discuss the latest developments at the EUV Lithography Symposium that is taking place this week in Hiroshima, Japan.

At the same event, Mitsubishi Electric representatives are due to talk about the possibility of scaling the source technology to 500 W and even 1 kW levels, while US chip maker GlobalFoundries will reveal its evaluation of the full cost-effectiveness of EUV lithography, compared with the alternative multi-patterning approach that EUV is tipped to replace.

AlluxaFirst Light ImagingABTechLASEROPTIK GmbHCHROMA TECHNOLOGY CORP.CeNing Optics Co LtdBerkeley Nucleonics Corporation
© 2024 SPIE Europe
Top of Page