Optics.org
KO
KO
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
Menu
Research & Development

Smoke, mirrors and EUV lithography

23 Mar 2011

After decades in development and years behind schedule, it looks like extreme UV lithography is finally closing in on commercialization.

In his new book, The Physics of the Future, the physicist Michio Kaku – who knows a good story when he sees one - writes about the collapse of Moore’s law. While not imminent, Kaku identifies the year 2020, or shortly after, as the point at which it will become impossible to make transistors any smaller. By then, they will be so small that quantum effects will become dominant, and Heisenberg’s uncertainty principle will play havoc with any attempts to control electron behavior on an atomic scale.

With echoes of Mark Twain, reports of the demise of Moore’s law have previously been both premature and exaggerated. But there’s no doubt that the industry faces some extremely tough challenges, both before and after it reaches Kaku’s 2020 cut-off.

Perhaps chief among those right now is the challenge of developing extreme UV (EUV) lithography tools that are sufficiently powerful, robust and stable for the relentless 24/7/365 production environment of a modern semiconductor fab.

And the EUV question took center stage at SPIE’s Advanced Lithography conference and exhibition, held in San Jose in late February and early March. This event has become a key one for the EUV community, with updates from the leading players on source development, resists and metrology topics a regular feature.

Sources remain the key topic in EUV, as developers strive to produce a 13.5 nm light source with the kind of consistency, reliability and sheer output power that the lithography stepper integrators ASML and Nikon can deliver to the world’s leading chip producers. According to Phil Alibrandi, now director of sales and account management at Gigaphoton but previously at rival source developer Cymer, the mood in San Jose was “cautiously optimistic”.

“There’s light at the end of the tunnel,” Alibrandi told optics.org, adding that the shift to EUV now feels inevitable. “There’s too much momentum to stop it now; it would require a fundamental failure of some kind.”

Harry Levinson, the manager of strategic lithography technology at GlobalFoundries, agreed – to a point: “Considerable progress was evident, but remaining challenges were also apparent, particularly in the areas of sources and resists,” he said. “Nevertheless, I think that the sheer mass of activity in EUV research and development will pay off.”

Market presence
In a way, EUV has already paid off for some. Even though there isn’t a single EUV tool yet operating in a high-volume manufacturing (HVM) environment, such is the complexity of developing those tools that it is already an industry worth hundreds of millions of dollars. ASML has shipped a couple of pre-production tools, and expects to ship several more in the coming months, each of which will carry an extremely hefty price tag.

Not surprisingly, given the protracted development period and the sums spent, there are accusations from some quarters that the entire EUV sector is merely a distraction – a “boondoggle” of a technology that is absurdly complex, perennially late to market, and which may never fulfill its promise in a volume production environment.

The trouble, most agree, is that the alternative – using four or five scanner ‘passes’ from existing tools to shrink chip sizes via multiple patterning instead – will be far too expensive for the fab manager or CFO of a device manufacturer to swallow.

It’s a reminder that Moore’s law isn’t just about shrinking components – crucially, it’s about reducing the cost of transistors. And to do that, the cost of producing those transistors must be kept under strict control. This is a theme that resonated at Advanced Lithography. In a lively plenary session, Shang-yi Chiang from TSMC – the world’s largest silicon chip foundry – said that extending ArF excimer laser immersion techniques will only get more expensive, beyond the cost of EUV, or even advanced electron-beam techniques.

Chiang reiterated the primary concerns with EUV: slow progress on sources and resists, and inadequate infrastructure on masks. Fellow plenary speaker Luc van den Hove – chief executive of the semiconductor industry’s technology test-bed at IMEC in Belgium – also touched on EUV, and IMEC’s plans to scale the productivity of its pre-production tool from ASML by an order of magnitude this year.

So, it looks like EUV is here to stay. But the industry still needs to see major improvements to sources – in terms of their usable power, energy efficiency, scalability and stability. In San Jose, the major source developers – Cymer and Gigaphoton – highlighted improved metrics, while start-up NANO-UV presented an alternative that may yet upset the status quo.

Dose stability
Mike Lercel is senior director of EUV product marketing at Cymer. For him, the most significant aspect of the recent event was that EUV sources were being measured against more substantial metrics than just power – such as improvements to dose stability, and results from field deployments.

“Cymer demonstrated good dose stability results over a number of wafer equivalent exposures (running with the modulated duty cycle during a scanner exposure), [with] multiple systems operating at multiple sites. So [we are] now looking at metrics like system matching and field support, and scalability to high power - with 80 W exposure power demonstrated,” Lercel told optics.org.

For Gigaphoton’s Alibrandi, raw source power remains the key issue. Production runs with the kind of throughput demanded by economic HVM demands an intermediate focus (IF) power of some 250 W (although the International Technology Roadmap for Semiconductors identifies 180 W as the necessary power for EUV insertion), along with a conversion efficiency of 5%, he says. The latter figure is crucial. With both Gigaphoton and Cymer basing their sources on a high-power CO2 laser-produced plasma (LPP), Alibrandi acknowledges that a vast amount of energy is needed to drive any LPP source – Gigaphoton’s “ETS” development source uses a 3.6 kW laser to deliver 20-25 W of “clean” power in the narrow EUV window.

In San Jose, Gigaphoton highlighted that it had reached a conversion efficiency (CE) of 3.3%, comparing with Cymer’s highest claim of 3%. Although both companies produce EUV by firing a CO2 laser at tiny droplets of metallic tin, Gigaphoton has augmented its approach with an additional laser source. Firing an Nd:YAG beam at the tin droplets first causes the droplets to nebulize, making small individual particles and generating a larger “mist” that effectively provides a bigger target for the high-power CO2 laser. Gigaphoton believes that more EUV energy is produced as a result.

Roadmap
Alibrandi sees this ‘pre-pulse’ step as the key to improving efficiency. Gigaphoton’s technology roadmap calls for production tools with a CE of 5%, rising to 6% for the planned 500 W GL400E in late 2014, and assumes that the pre-pulse approach can deliver the kind of repeatability and stability needed in an HVM scenario.

The company is now on track to ship its first HVM-level EUV source to ASML in October this year. Although its roadmap calls for a 250 W source, this will not be the case initially – Gigaphoton will first provide a 100 W source, focusing on reliability, and aims to upgrade it to 250 W in early 2012.

At that point, some device manufacturers may be getting nervous. Since they will be aiming to insert EUV between 2013 and 2015, the clock will be ticking - and they will be all too wary of the targets that have been missed previously.

For Cymer, Lercel places less emphasis on the efficiency question, although he does admit that it needs to improve. Cymer has demonstrated 3% efficiency at an exposure power of 80 W over an extended period, and sees the next milestone as reproducing that performance level at the full duty cycle required of a HVM system. “It is no longer a laboratory test, [it’s] a product design and verification test,” he said.

Disruptive options?
Although the emphasis is now shifting from lab to fab, the time that it has taken for the “incumbent” source suppliers to develop EUV has left the door open for companies with a disruptive technology. Among those is NANO-UV, which is working on a discharge-produced plasma (DPP) source – a technology long ditched by other players. NANO-UV executive George Bonatsos told optics.org that the company’s key differentiaton arises from combining a large number of low-power sources, rather than a single, high-power CO2 laser.

For Bonatsos, this modularity is a fundamental advantage, because it implies an inherent system redundancy – that when something inevitably breaks down, NANO-UV’s DPP source can continue to run without any noticeable impact on production, while a problem with the CO2 beam will mean costly downtime.

Cymer and Gigaphoton would no doubt argue that the same accusation could be leveled at the excimer laser sources that feature in all of today’s production-quality stepper systems. These are clearly not modular systems, and they rely on a single high-performance component, but their reliability is clearly good enough for the chip producers.

NANO-UV chose to unveil its “Hydra-12” DPP source at the Advanced Lithography show, generating much excitement among a crowd for whom this technology is often a closely guarded secret. Even so, Bonatsos is under no illusions about the challenges facing a disruptive technology in the semiconductor space:

“Literally billions of dollars have already been spent by very intelligent people to finance and develop scanner tools to integrate an EUV source technology that has great limits,” he told optics.org.. “It is therefore a thorny issue to even accept the fact that they should look at other solutions, like ours.”

Although the NANO-UV technology has been developed primarily for EUV metrology applications, Bonatsos says that the concept is inherently scalable, and so could be used for generating higher powers. He adds that the modular approach offers an optical advantage over single-source plasmas, providing more usable EUV light.

The potential for collaboration is another point that Bonatsos picks up on. In his opinion, the delays to the roadmap have prompted Bryan Rice - director of lithography at Sematech – to become more involved in EUV development by hosting a meeting on metrology sources.

Bonatsos hopes that this move will help to drive a more collaborative approach within EUV lithography, in contrast to the somewhat attritional scene that has prevailed thus far. “What the industry really needs is more strategic collaboration model,” he said. “And I believe that industry leaders like Sematech also think that this is necessary, to stop the current ‘poker game’ mentality of attrition; the ‘we win, you lose’ game. What it should be is win-win.”

If and when EUV does make it to full production – and given the positive vibes and buzzing atmosphere in the packed-out sessions at Advanced Lithography, “when” is now starting to look a lot more likely – it will maintain the march of Moore’s law for at least a few more years. It may ultimately go down as the semiconductor industry’s greatest achievement.

But if Michio Kaku is right, its impact will be relatively short-lived. EUV could be the last big step before some much more fundamental changes are needed. Or will reports of the demise of Moore’s law once again prove exaggerated?

imec video showing EUV tool installation

About the Author

Mike Hatcher is the Editor in Chief of optics.org. San Jose reporting by Tim Lamkins.

Mad City Labs, Inc.CeNing Optics Co LtdSPECTROGON ABHÜBNER PhotonicsTRIOPTICS GmbHABTechBerkeley Nucleonics Corporation
© 2024 SPIE Europe
Top of Page