Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Research & Development

Cymer pushes high-yielding EUV source to 55W

01 Mar 2013

Key lithography light source provider reports 99.7% simulated die yield at 40W EUV power and 97.5% at 55W.

Cymer has demonstrated a 40 W extreme ultraviolet (EUV) source in an ASML lithography scanner at a high duty cycle capable of patterning 30 silicon wafers per hour, while at 55 W the same source has shown promising performance.

The San Diego firm, which is in the process of being acquired by lead customer ASML, announced its latest results in a presentation at this week’s SPIE Advanced Lithography event being held in San Jose, California.

The development of EUV light sources operating at the duty cycles and with the stability required for deployment in high-volume semiconductor fabrication facilities has been beset with technical difficulties. In January ASML’s CEO Eric Meurice admitted that performance targets had been missed in 2012, but said that a stable 40 W operation had now been achieved.

Cymer added more details about that source development work in its San Jose presentation, for example saying that simulations indicated a die yield in excess of 99.7% over multiple wafer lots. The source operated for more than six hours with a dose stability of ±0.2% - meeting the requirements for commercial scanners.

The company also said that it had ramped up the power of the source to 55 W for an hour, resulting in a simulated die yield of 97.5%. It now plans to steadily raise the EUV power while maintaining duty cycles and dose stability, with 60 W the next major milestone.

ASML is this year shipping tools to chip makers that can be upgraded with improved sources that should be capable of patterning 70 wafers per hour by mid-2014. An output power of 105 W will be necessary for that target, but ASML’s scanners are expected to feature 80 W sources initially, before being upgraded in the field.

SPIE Newsroom video - Cymer's David Brandt on EUV source progress:

Pre-pulse and debris mitigation
Cymer’s three test EUV sources in San Diego each now feature a master-oscillator power amplifier (MOPA) upgrade and a “pre-pulse” configuration that expands the size of the tin droplet targets inside the source before an ultra-high-power CO2 laser strikes them.

The expanded targets are a better physical match for the laser, which generates the EUV emission in the source chamber when the tin is hit; reflective optics then direct the light towards silicon wafers to be patterned by the lithography tool, and using the pre-pulse is known to improve the efficiency of the overall EUV light generation, making it more economical for chip makers to use.

Cymer’s rival Gigaphoton has been developing a similar “pre-pulse” approach for some time, and last month said that it had reached a 20 W peak power with its source. While Cymer is acknowledged to be at the leading edge of the source development now, ASML expects shipments of EUV lithography tools to be modest (just over ten units) for the next couple of years before ramping up significantly from 2015 onwards.

Good protection of the optical coatings from debris produced in the plasma chamber of the sources is another key requirement for EUV tool economics, and Cymer said that it had now demonstrated that after four billion EUV pulses with a 60 W source there were no signs of any early damage mechanisms.

For EUV, the San Diego company is aiming to emulate the ramp in power with its ArF excimer lasers that dominate as the sources in the semiconductor industry’s current generation of lithography scanners. Having started out with a 1 W source, Cymer’s latest generation of excimers now delivers 120 W - a power level matched by Gigaphoton.

Iridian Spectral TechnologiesHÜBNER PhotonicsLaCroix Precision OpticsTRIOPTICS GmbHSPECTROGON ABMad City Labs, Inc.Alluxa
© 2024 SPIE Europe
Top of Page