Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

Chip giants back EUV photoresist developer Inpria again

11 Jul 2017

Oregon company wins $23.5M backing from venture wings of Samsung, Intel and Applied Materials in series B round.

Inpria, a 2007 spin-out from Oregon State University (OSU) that specializes in semiconductor photoresists for extreme ultraviolet (EUV) lithography, has attracted $23.5 million in a series B round of venture funding backed by some of the biggest names in chip manufacturing.

Existing investors Intel Capital, Samsung Ventures and Applied Ventures all took part in the round, with Japan-based photoresist supplier JSR Corporation joining as a new backer. Air Liquide’s “ALIAD” venture unit also participated.

According to US Securities and Exchange Commission (SEC) filings in 2014 Inpria raised $5.4 million in equity funding, out of a planned $8.8 million. The company then announced exactly a year ago that it would scale photoresist production following a “$10 million-plus” round of financing.

EUV photoresists are regarded as one of the final – but necessary – elements of the EUV lithography ecosystem to be put in place before the laser-driven technology can make the jump to mass production.

EUV sensitivity
Corvallis-based Inpria says it will use the new funds to complete its pilot manufacturing facility and to commence commercial production of its photoresists, which are based on tin oxides rather than conventional polymers.

“Inpria photoresists offer greatly improved resolution with building blocks a fraction the size of traditional polymer-based resists,” claims the firm. “At the same time, these materials can quadruple the efficiency with which EUV photons are absorbed, thereby improving sensitivity and reducing pattern variability.”

The cash will help the company to grow its workforce and support greater customer engagement as the semiconductor industry gears up for the long-awaited adoption of EUV equipment. That adoption has been dogged by challenges developing the laser-driven light sources that produce broadband light from which the 13.5 nm radiation is selected to print tiny transistor features.

Samsung and Intel have previously poured investment into key lithography equipment firm ASML to support the development of the EUV light sources and other critical hardware. And by adopting photoresist materials that are more sensitive to EUV light, manufacturers would be placing less demand on those laser-driven light sources.

Dong-Su Kim from lead investor Samsung Ventures said of the Inpria technology: “The photoresist that Inpria has pioneered is innovative and demonstrates promise.”

Inpria’s CEO Andrew Grenville added: “This strong strategic backing from ecosystem players, including customers as well as equipment and material partners, signals their shared excitement and confidence in the importance of our products to benefit EUV lithography specifically, and more generally the entire semiconductor industry.”

Crisp patterning
Initially developed by OSU chemistry researchers, the Inpria materials are described as “photo-condensed molecular oxides” – inorganic chemicals that can be deposited directly from solution.

Conventional polymer resists are based around molecules that are relatively large in comparison to the tiny feature sizes made possible with EUV lithography. “In contrast, our core molecules are five times smaller than conventional materials,” Inpria claims, adding that the tight packing possible with tin oxides enables crisp, accurate patterning of feature sizes smaller than 10 nm.

ASML began shipping its latest EUV lithography scanners to chip manufacturing customers earlier this year, with the technology expected to move to volume wafer production towards the end of 2018.

The success of that introduction has implications for the wider photonics industry, with companies such as Trumpf, Zeiss, Element Six, and II-VI providing the high-power lasers, EUV mirrors and cutting-edge diamond transmission optics used in the €120 million-plus systems.

ASML is expected to update the industry on its latest progress in EUV developments in its quarterly financial release next week, before which the industry is gathering for the annual Semicon West trade show taking place in San Francisco.

LaCroix Precision OpticsSPECTROGON ABCeNing Optics Co LtdAlluxaABTechFirst Light ImagingBerkeley Nucleonics Corporation
© 2024 SPIE Europe
Top of Page