Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

ASML customers reschedule EUV tool shipments

16 Jul 2014

Three upgraded extreme UV lithography systems now slated to ship in 2015 instead of this year.

Lithography system vendor ASML says that it will now ship only five extreme ultraviolet (EUV) tools this year, instead of the eight that it had been expecting to previously.

Customers have decided to reschedule their orders for three of the tools to 2015, and take advantage of a planned upgrade of the equipment with improved sources for production capability.

ASML’s CEO Peter Wennink told an investor conference call arranged to discuss the company’s second-quarter financial results that the schedule change was not a “push-out”, and that the customers now wanted to perform their developmental EUV work using the forthcoming NXE:3350B tool, rather than the current NXE:3300B.

“The specifications [of the NXE:3350B] are better, and they want access to that better performance – despite getting it later,” said Wennink.

The CEO added: “In EUV, we have made significant progress in the last quarter, doubling productivity, and we are on track towards our target of 500 wafers per day around the end of the year.”

Thanks to a planned EUV source upgrade at the end of the first quarter of this year, ASML says it has now improved production to 200 “good” wafers per day. Wennink said that improvements to automation, source uptime and power stability were behind the progress made.

Productivity targets
That is seen as a key step towards customer requirements for volume production in 2016, which is expected to mean a rate of 1,500 wafers per day. ASML’s target for the end of 2014 is to hit 500 wafers per day, with improved optical transmission coatings and drive laser reliability seen as two of the critical factors.

“We are working closely with customers to determine the volume production insertion points for the 10 and 7 nanometer nodes,” added Wennink, although he admits that the 7 nanometer insertion will be much the more meaningful.

In all, ASML has now shipped six of the NXE:3300B systems to makers of logic and memory chips. The company is still planning to ship between 12 and 15 production EUV tools - to two makers of logic chips and a single DRAM manufacturer - next year, although that remains dependent on impending decisions by those customers.

Questioned on the potential for future postponements, Wennink indicated that a worst-case scenario would see perhaps half that number of EUV tools shipped in 2015, if customers opt to use the kit for “learning” purposes rather than volume production.

While customers are still deciding whether or not to use EUV for the 10 nm “node”, the CEO stressed that the technology remained “absolutely necessary” for the follow-up 7 nm node, and reiterated expectations that ASML would be shipping between 40 and 60 EUV tools each year once it is fully inserted in production.

‘Rudimentary’ Gigaphoton source hits 92W
News of ASML’s improved EUV productivity comes shortly after rival EUV source developer Gigaphoton announced that it had demonstrated an EUV source output of 92 W, along with an impressive conversion efficiency of 4.2 per cent.

That efficiency results from improvements to the Japanese firm’s prototype laser-produced plasma sources, which incorporate a solid-state laser as well as a carbon dioxide laser to generate broadband light from tiny particles of tin.

“The 92 W output was achieved by irradiating an Sn target (tin droplet) with a solid-state pre-pulse laser and a CO2 laser after combining and optimizing these lasers,” reported Gigaphoton, adding that it is targeting 150 W EUV output by the end of this year and ultimately up to 250 W for high-volume manufacturing.

Commenting on those laboratory results, Wennink said that Gigaphoton was around a couple of years behind ASML’s Cymer division in terms of EUV source development, and described the result as “rudimentary”.

The ASML CEO also told investors that raw source power was only one of three key factors that determine the ultimate productivity of an EUV lithography tool, the others being source availability and scanner design – which rely on factors such as the sensitivity of EUV photoresists.

“Much, much more [than EUV source power] ultimately determines what customers want,” he said.

Logic slowdown
In the first half of this year, ASML has posted a record-breaking €3 billion in sales – higher even than its best-ever first half, back in 2011. However, with something of a slowdown expected in the second half because of delayed investments by logic customers and the EUV rescheduling, full-year revenues are anticipated to come in at around €5.6 billion, slightly below the 2011 total and less than the analyst consensus of €6.1 billion.

Wennink said that, because ASML’s highly complex and expensive tools tend to have the longest lead times in the semiconductor industry, the company also tends to see any forthcoming slowdown in demand first.

At the moment, it appears that the logic sector is in a period of relative weakness, with capacity build-out in advance of the introduction of new “FinFET” transistor designs slower than had been expected. Different foundry customers are also investing in equipment at very different rates.

ASML’s stock price fell by around 3 per cent in early trading following the results announcement.

Iridian Spectral TechnologiesHÜBNER PhotonicsOptikos Corporation LASEROPTIK GmbHUniverse Kogaku America Inc.ECOPTIKIDS Imaging Development Systems
© 2024 SPIE Europe
Top of Page