Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

EUV orders jump at ASML

24 Jan 2024

Lithography leader shipped initial high-NA modules in December quarter; retains conservative outlook of 'transitional' 2024.

ASML, the world’s dominant supplier of semiconductor lithography equipment based around cutting-edge optics and photonics technologies, has confirmed record-breaking figures for sales and profits in 2023.

The Dutch company, now one of the most valuable in Europe, posted revenues of €27.6 billion for the full year, up 30 per cent on its previous best in 2022.

Profits rose even more sharply, with the firm’s sale of 421 new and 28 used systems generating a net income of €7.8 billion - up nearly 40 per cent on the prior year.

And while the company’s executives still expect 2024 to be a “transitional” year - with little or no sales growth overall - they also reported a surge in orders for its most advanced tools in the closing quarter of 2023.

That news appeared to prompt a 5 per cent jump in ASML’s share price, pushing it above $820 on the Nasdaq, close to an all-time high and equivalent to a market capitalization in excess of $300 billion.

EUV order bounce
Commenting on the latest developments in an in-house ASML interview, company CFO Roger Dassen said: “After a few soft quarters, the order intake for the quarter was very, very strong. Actually a record order intake at €9.2 billion.”

That total included around €5.6 billion from orders for extreme ultraviolet (EUV) systems based around ultra-high-power carbon dioxide lasers, with soon-to-retire CEO Peter Wennink pointing out that December saw shipments of the first modules of the initial high numerical aperture (NA) EUV system, known as the “EXE:5000”.

The shift to high-NA systems is set to enable feature shrinking of a further 1.7x, alongside close to a three-fold increase in transistor density.

Dassen also explained that part of the reason for the expectation of a flat year in 2024 revolves around the exact timing of tool shipments and customer billing, with some shipments slipping back due to capacity constraints that should be resolved this year.

However, he added that there is still some uncertainty in the semiconductor market as it looks to recover from its latest down-cycle. Although there are clear signs of a recovery, the extent and speed of that recovery remains to be seen, Dassen said.

“One positive sign is that we clearly see that the inventory levels in the end markets are improving and are definitely at a better level than they were a couple of quarters ago,” the CFO noted.

Lithography tool utilization - another key indicator of future demand - is also said to be improving, though not yet at historically “normal” levels, while perhaps the clearest indication of a recovery was the €9.2 billion order intake.

“2024 is very much a transition year and a year in which we're really building up capacity,” Dassen added. “We're making good investments into our capacity because we believe 2025 is going to be a year of strong growth and that's what we're preparing for.”

2025 upturn
One transition that 2024 will likely mark is the arrival of the first high-NA EUV systems, with “one or two” EXE:5000 tools expected to feature in the full-year sales figures.

On the other hand, ASML has pencilled in a small decrease in sales of its immersion deep-ultraviolet (DUV) tools - technology that is the subject of the latest China export restrictions imposed by US authorities.

ASML’s China sales were actually very strong in 2023, tripling in value from the 2022 figure to reach €6.4 billion. Dassen indicated that the vast majority of those sales related to “mature and mid-critical” manufacturing - in other words, to produce relatively basic chip technologies.

Explaining the latest export restrictions, the CFO added: “In essence, what it means is that we should now expect that for 2024 we will not get export licenses for shipment into China for, let's say, advanced immersion, so NXT:2000i and up tools.

“And we should also expect for a handful of fabs not to get export licenses for China for NXT:1970i and NXT:1980i immersion tools.”

Those rules would have impacted around 10-15 per cent of ASML’s sales to China in 2023, and will likely impact the 2024 figure to the same extent.

Beyond the transitional 2024, Dassen and his colleagues remain highly optimistic about 2025 and beyond.

“If you look at the developments around AI, if you look at the developments around electrification, around energy transition, they will need many, many semiconductors,” he commented.

Added to that, by 2025 semiconductor chip manufacturers should be clearly into an “up” cycle, while a large number of new fabrication facilities under construction now will begin tool intake.

“We are making the investments [previously mentioned] in order to be able to create the capacity that will be needed in 2025,” the CFO concluded.

TRIOPTICS GmbHMad City Labs, Inc.LASEROPTIK GmbHCeNing Optics Co LtdIridian Spectral TechnologiesLaCroix Precision OpticsUniverse Kogaku America Inc.
© 2024 SPIE Europe
Top of Page