Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
Menu
Historical Archive

Recovery in diode-laser market expected by 2003

17 Jun 2002

The diode-laser markets for optical data-storage and industrial applications are expected to see rapid growth in the next five years. A recent report suggests that telecoms applications will recover by the end of this year. Rebecca Pool reports.

From Opto & Laser Europe April 2002

At the turn of this century the worldwide market for diode lasers was valued at $5.7 bn (EURO 6.5 bn). Having dropped to $5 bn in 2001, the market has since crept slowly back up, and is predicted to reach $5.7 bn again this year. If recent reports are anything to go by, however, makers of diode lasers can expect more exciting times ahead of them this year.

"Positive growth, of the order of 15%, is expected to return in 2002," claims Roy Szweda, author of Diode Laser Materials and Devices: a Worldwide Market and Technology Overview to 2005. "By 2005 the total diode-laser market will grow to $8.7 bn."

Today's diode-laser makers are typically in one of two camps: those who make devices for telecoms applications, and those who do not. Non-telecoms markets include optical data-storage and medical, industrial, defence and automotive applications.

Szweda thinks that both sides of the industry - telecoms and non-telecoms - are showing promise for renewed growth this year. "Encouraging signs include Corning re-opening four of its five fibre manufacturing plants in January [after closing them in October of last year] and high DVD sales in south-east Asia over Christmas," he said.

Szweda also predicts that key markets will be telecoms and optical data-storage, which have the potential to double in size by the end of 2005. Diode lasers used as compact energy sources in industrial applications are also poised for growth, he says.

Today, diode lasers make up the highest-value market in the semiconductor optoelectronics industry. At least half of this market is likely to comprise telecoms applications until 2005.

High-power diode lasers are already successfully used as light-pumping sources, but Szweda believes that diode lasers with wavelengths ranging from 635 nm to 2 µm will be increasingly used in soldering, welding and microsurgery.

"This huge opportunity [for high-power diode lasers] is only just emerging," said Szweda. "This market presently represents less than 10% of the semiconductor laser market, but has great potential for growth."This spells good news for non-telecoms diode-laser makers, such as Thales Laser Diodes of France and Jenoptik of Germany, who are focusing on devices in industrial markets. Jenoptik currently makes GaAs-based infrared diode lasers for solid-state laser pumping and industrial applications such as metals and plastics working. Like Szweda, Jenoptik's sales and marketing manager Detlev Wolff is confident that the compact power source market holds great potential for diode lasers.

"At the moment the key market is pumping - about 80% of diode lasers are used here, and the remaining 20% deliver their radiation directly to targets in the metals and plastics industry and medicine - but this will change," Wolff said. "[These diode lasers] are so powerful that more and more applications are accessible."

But while Wolff believes that diode lasers are well suited to industrial applications, he emphasizes that they are less suited to the metals sector, as they are only useful in hardening, soldering and powder metallurgy - a tiny fraction of the metals market.

"Joining is not accessible for us, as solid-state lasers are more versatile and cheaper," Wolff explained. "Also, beam quality has not met expectations and has only been achieved at the expense of lifetime and efficiency. Metal cutting and welding requires a narrow beam, which means diode lasers cannot be used."

Wolff asserts, however, that diode lasers are in a much better position to compete with solid-state lasers in plastics welding and joining, as these applications only require a fraction of the power required for metals. "A high beam-quality isn't required either, so the advantages of solid-state lasers are not needed in plastics," he said.

While telecoms component makers have been hit hard by the economic downturn, makers of high-power diode lasers for industrial applications have not. Szweda cites this market as one of the few that has remained buoyant throughout the past months, while Wolff laughs at the mention of a downturn. "If this is a crisis then I'd like to see a real one," he said. "High-power diode lasers are not influenced by the telecoms market - this year there has been a growth rate of 80%."

He adds, however, that this device market is reliant on the automotive market and wafer fabrication plants. Both sectors produce components that are marked for tracing purposes by diode-pumped solid-state lasers, and chip manufacturers also use diode lasers for dicing wafers. But despite recent ups and downs, Wolff is confident that diode-laser sales will remain stable.

"We are a young industry with a rapidly expanding market and a good overall growth rate," he said. "In the past five years, no new marking system has been developed that hasn't been diode pumped."

Another non-telecoms company that has remained buoyant through hard times is Germany-based blue diode-laser system maker Toptica. The company's products include violet systems for computer-to-plate printing and disc testers for CD and DVD manufacture.

Szweda confirms that companies targeting the optical data-storage market have largely remained immune to industry turbulence and are well placed to reap the rewards of the predicted market growth. As applications demand shorter wavelengths and higher data-storage capacities - a DVD consortium, for example, aims to develop discs with a 50 Gbyte capacity - blue diode lasers will see a more robust growth rate than their red counterparts.

Szweda adds, however, that red diode lasers for DVDs are still important. "While there is a trend for larger files there is still a need for red diode lasers in PCs," he said. "Red diode lasers will stay with us for at least another two years."

Toptica is confident about the future and believes that its success is partly due to the fact that it has steered clear of telecoms applications, even though its products can be tailored for this sector. But while companies active in non-telecoms related diode laser applications seem to be the winners in a depressed economy, how exactly are their telecoms counterparts bearing up? Between 1999 and 2000 the telecoms industry saw spectacular growth. Caught up in the hype, many industry players over-ordered components, which led to the depressed market that we see today.

Although Szweda believes that this situation will begin to reverse by the end of the year, he warns that there is significant inventory to be worked through first. Philippe Brégi, chief operating officer of Alcatel Optronics, agrees: "I have a feeling that this means a rebound will not begin until the start of 2003," he said.

Brégi says that 1550 nm will become the preferred transmission wavelength across all distances over the next 10 years, and adds that the future lies with InP-based laser diodes. He also believes that the increased demand for fibre-to-the-home means fibre will replace copper in network access areas.

Like Brégi, Szweda anticipates that fibre-to-the-home could be the technology to turn today's diode-laser market around. He argues that while the growth in high-power and blue diode-laser sectors looks promising, the overall market needs something more to lift it out of its present gloom.

"Non-telecoms applications, especially DVD data storage, are helping to sustain the total market during the telecoms downturn," he said. "But growth in these sectors is not enough to outweigh the effects of telecoms in the short term."

So as the diode-laser market becomes increasingly diverse, perhaps now is a good time for market players to take notes from their semiconductor counterparts. "People in optoelectronics are recreating the same mistake of mismatching supply and demand as [people in] the semiconductor industry," said Szweda. "Will companies ever learn from this?" Diode Laser Materials and Devices is available from Elsevier. Contact Grant Harris (+44 1865 843666).

Liquid Instruments Webinar
CeNing Optics Co LtdHÜBNER PhotonicsUniverse Kogaku America Inc.Hyperion OpticsTRIOPTICS GmbHABTechIridian Spectral Technologies
© 2024 SPIE Europe
Top of Page