Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Research & Development

EUV bet settled as source powers climb

24 Feb 2015

Technology advances revealed at SPIE Advanced Lithography conference; students to benefit from the resolution of a long-standing bet.

ASML and Gigaphoton, the two major players when it comes to extreme ultraviolet (EUV) source development for future lithography applications, have each announced further technological progress at SPIE’s Advanced Lithography event in San Jose, California.

Japan-headquartered Gigaphoton revealed ahead of the event that its latest laser-powered prototype had shown continuous output of 140 Watt – although at a duty cycle of 50 per cent. “It is widely believed that 140 Watts is the output power required by EUV light sources for mass production applications,” stated the firm.

Meanwhile ASML said that key customer TSMC, the world’s largest independent silicon chip foundry, had now been able to expose more than 1000 wafers in a single day using the Netherlands-based company’s NXE:3300B scanner.

TSMC’s research and development director Anthony Yen was quoted in ASML’s statement saying: “We exposed 1022 wafers in 24 hours with sustained power of over 90 Watts.”

However ASML’s own VP of product marketing for EUV, Hans Meiling, reiterated that the milestone was just one more step towards the technology being used in mass production of semiconductor chips. He said:

"The test run at TSMC demonstrates the capability of the NXE:3300B scanner, and moves us closer to our stated target of sustained output of 1000 wafers per day in 2015. We must continue to increase source power, improve system availability and show this result at multiple customers over multiple days."

Tortuous crusade
ASML’s subsidiary Cymer and Gigaphoton are long-term rivals, both in terms of the current market for lithography light sources – excimer lasers – and in the battle to develop the next generation of sources for EUV lithography. That has been a long and tortuous crusade, amid widespread skepticism of the technology ever becoming commercially viable.

Thanks in no small part to substantial investments from leading customers Intel, Samsung and TSMC, ASML has nailed its colors firmly to the EUV mast in recent years. And with good progress now finally being made, it is confident of a high-volume ramp of the technology starting in late 2016.

However, there remains some skepticism about that actually going according to plan. Among the best-known skeptics at the SPIE Advanced Lithography event is Chris Mack, who has charted the non-emergence of EUV lithography, and the under-performance of EUV light sources in particular, in a long-running series of blog posts on his web site.

And although more progress has been made of late, those EUV sources remain the key stumbling block. Cymer and Gigaphoton are both scrambling to increase the output power and stability of their laser-produced plasma (LPP) designs, which work by firing a high-power carbon dioxide laser at a steady stream of tin droplets, in time for the anticipated ramp to mass production. Trumpf and Mitsubishi Electric are among their key collaborators as they target a reliable and consistent output of at least 100 Watts for volume production.

Gigaphoton reports that its achievement of 140 Watts at a 50 per cent duty cycle shows that the industry is now “close to its final stages” of realizing EUV scanners that are capable of mass production. It is targeting an increase to 250 Watts output by the end of 2015.

“The achievement of continuous operation, 140 Watts output at 50 percent duty cycle with our EUV light source proves we are very close to achieving high-power, low-cost, and stable LPP light sources required by our customers,” said Hitoshi Tomaru, the Gigaphoton CEO.

EUV bet resolved – with student benefits
This year’s event has also seen the resolution of a long-standing bet over EUV that was struck by Mack and EUV proponent Vivek Bakshi at the Advanced Lithography conference back in 2009.

Although precise details of their wager remain hazy, Mack was so skeptical of EUV at the time that he predicted the topic would be “dead” within two years, with no EUV-related submissions to the Advanced Lithography conference in 2011. Reportedly, Mack was even prepared to put his Lotus Elise car on the line.

Bakshi’s pro-EUV prediction was that the technology would be in mass production by now – although exactly what he was prepared to give up has seemingly been lost in the midst of time . As it turns out, both have been proved wrong. But instead of giving up the keys to his Lotus, Mack has agreed to a settlement that will see students benefit.

Having both admitted some degree of defeat, he and Bakshi will each donate $1000 to an SPIE fund used to support student attendance at the annual conference.

Even so, the two still find themselves on opposite sides of the fence regards the future of EUV. Mack writes that the technology is only alive because there is no decent alternative, and because the semiconductor industry remains deeply wedded to the concept of wavelength scaling to keep Moore’s law on track.

But Bakshi subscribes to ASML’s vision, and expects to see EUV lithography enter mass production during 2016 – only two years later than he’d originally wagered. Mack’s latest blog post from San Jose this year suggests different experiences for the various chip companies working to implement EUV lithography.

He reported slow progress at Hynix with one of ASML’s older scanners, but seemingly much more rapid developments at TSMC, whose EUV tool is now said to have a source power of 80 Watts.

DSA alternative: IMEC reveals progress
Of the alternatives under discussion, directed self-assembly (DSA) remains one possibility, and a team from the Belgian development center IMEC revealed details of its latest DSA progress in San Jose this week.

Alongside equipment firm Tokyo Electron and chemicals giant Merck, they claim to have developed a DSA approach to via patterning that is compatible with the 7 nm semiconductor process “node” – expected to be the most significant entry point for EUV lithography in the coming years.

“Over the past few years, we have realized a reduction of DSA defectivity by a factor of ten every six months,” stated An Steegen, senior VP of process technologies at IMEC.

“We are looking ahead at two different promising DSA processes that will further improve defectivity values in the coming months. Our processes show the potential to achieve single-digit defectivity values in the near future without any technical roadblocks lying ahead.

AlluxaMad City Labs, Inc.Iridian Spectral TechnologiesUniverse Kogaku America Inc.Berkeley Nucleonics CorporationHÜBNER PhotonicsSPECTROGON AB
© 2024 SPIE Europe
Top of Page