Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Applications

Key decisions on EUV introduction delayed

17 Oct 2013

ASML customers have put back critical decisions on lithography investments by 6-9 months.

Chip manufacturers weighing up their investment options have delayed a key decision on the potential introduction of extreme ultraviolet (EUV) technology, according to lithography tool provider ASML.

Speaking at an conference call to discuss the company's Q3 2013 financial results, new CEO Peter Wennink said that although good progress was being made with the development of EUV, lead customers had now developed a “double-litho” contingency strategy.

It means that a final decision on whether to select EUV or multiple-patterning with existing immersion lithography tools – something that had been due by the end of 2013 – will now be taken in the second half of next year.

The decision centers on the dilemma facing manufacturers of logic chips for the so-called 10 nm technology node. Wennink said that the contingency would allow disclosure of design rules for this node in early 2014, and development of the 10 nm node to begin with existing, lower-risk, immersion-based lithography processes.

However, that multi-patterning approach is not able to shrink the critical dimensions of chip features as effectively as EUV, and will ultimately prove very expensive.

So, at the same time, they will closely monitor EUV performance improvements, ensuring that the technology remains an option for layer-by-layer insertion when technological improvements – largely relating to the output power and stability of the EUV source - become available throughout the first half of 2014.

“[Because] our customers have developed this dual-litho strategy, whereby they can present the 10 nm design rules to their customers, [this] has created time and space for the development of the EUV solution at 70 wafers per hour,” he said.

Power play
The importance of EUV to the photonics industry was made evident in Trumpf’s recently published annual report. The German laser company said that more than 10 per cent of the near-€700 million revenues generated by its laser technology division were already attributable to EUV lithography, even though the market for such tools remains in its infancy.

Other components, such as diamond optics, are also critical for increasing the power output of the EUV source to the levels required for volume production in semiconductor fabs.

Semiconductor makers such as Intel ultimately want their EUV tools to support high-yielding production of 125 silicon wafers per hour, a throughput that would demand a highly stable source delivering 250 W. The throughput target for late 2014 is 70 wafers per hour with a 125 W source.

So far, ASML has disclosed that its EUV source is able to reliably deliver 55 W. Asked why the source had not yet been proved at the 80 W output level seen as necessary for initial development by chip makers in early 2014, Wennink said:

“We will reach the 80 watts. We will reach 70 wafers per hour. We will reach 125 wafers per hour.”

Admitting that the fixation on source output power may have been sparked by ASML initially, the CEO added that the company was right now focused on ensuring that the source had the kind of stability required for fab deployment.

Revenue delay
Part of the current issue relates to a delay in the shipment of certain qualified source components by 5-6 weeks, something that Wennink stressed was simply a supply-chain issue rather than anything more fundamentally problematic.

That delay means that for its fiscal 2013 sales ASML will only now be able to recognize revenue for one of the three EUV tools that it believes it will ship during the year. But despite that, solid demand from chip makers for existing tools means that the company should still hit its €5.2 billion revenue target in 2013.

Wennink indicated that ASML had been playing catch-up on EUV source development for the past nine months, since it moved to acquire source developer Cymer. Another 12-18 months of intensive work will be needed to improve the source ahead of the anticipated widespread introduction of EUV that ASML believes will ultimately support the semiconductor industry for 15-20 years.

Following initial deployments and customer acceptance, and assuming that source developments go according to plan, ASML is expecting to ship 25-30 EUV tools in 2015, before ramping up to double that figure in subsequent years.

CeNing Optics Co LtdCHROMA TECHNOLOGY CORP.JenLab GmbHIridian Spectral TechnologiesSPECTROGON ABTRIOPTICS GmbHUniverse Kogaku America Inc.
© 2024 SPIE Europe
Top of Page