Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

TSMC orders production EUV systems

24 Nov 2014

First production order should see systems delivered next year and enter volume manufacturing in 2016-2017.

Lithography giant ASML has received its first order for production-worthy extreme ultraviolet (EUV) systems, from the Taiwanese foundry company TSMC.

Announcing the development at its investor day in London, ASML said that two NXE:3350B EUV systems were scheduled for delivery to TSMC in 2015, while two other NXE:3300B systems that the chip maker already owns will be upgraded to the full production-scale performance.

Management’s “ASML 2020” strategic update saw the company target annual turnover of €10 billion by the end of the decade – a sales level that would represent close to an 80 per cent increase on the expected fiscal 2014 figure of €5.6 billion.

“Underpinning ASML's 2020 ambition is the semiconductor industry's continued aggressive pursuit of transistor scaling to deliver improvements in performance, size and power consumption, which in turn makes possible new applications and more attractive consumer devices and services, fueling overall industry growth,” announced the firm, headquartered in Veldhoven in The Netherlands.

“In short, ASML expects Moore's law to continue to drive the industry in the coming ten years, with lithography as the key enabler.”

Regarding the key lithography technologies, ASML expects deep-UV (DUV) immersion systems to be used for patterning of multiple layers in all advanced processes for the foreseeable future, with EUV to enable cost-effective manufacturing of logic and memory chips from 2016 onwards.

100W EUV source demonstrated
Speaking at the London event, “chief program officer” Frits van Hout said that ASML’s EUV scanners had now achieved exposures of 500 wafers per day at several customer locations, and that the technology was on track to hit targets of 1000 wafers per day in 2015 and 1500 wafers per day in 2016. The question was “when” EUV would be used, and not “if”, he stressed.

The current throughput level has been achieved with a laser-generated EUV source power of 40 W, with data from IBM indicating that its source had been stable at that output level for around two months.

Van Hout added that an 80 W source had now shown stable performance for 24 hours. He described that as a “major milestone”, because sources will typically fail within an hour if they do have a problem.

A 100 W source has also been demonstrated, but its output began to degrade after about 45 minutes – suggesting that somewhere between 80W and 100W represents the current limit of the source technology.

To reach the productivity levels demanded by customers, a 125 W source is needed, and this will require upgrades with a higher-power source laser from key supplier Trumpf to generate the EUV light when it strikes tin droplets under vacuum in the source chamber.

Other than that, van Hout only sees a need for a large number of relatively simple improvements to reach the required production scanner performance before EUV is introduced first for logic applications at the 10 nm node.

ASML to make pellicles
While there has in the past been some concern about the availability of supporting technologies, ASML reckons that although there remains a need to improve mask patterning for the 7 nm node, the wider infrastructure is now in place for the EUV switch.

ASML will provide part of that supporting technology internally, by making the pellicles that are needed to protect wafers from contamination. “We don’t think there are any major issues [and] there will not be a showstopper,” said van Hout.

The company is already thinking beyond the initial insertion of EUV. By the end of the decade, it plans to introduce systems with a higher numerical aperture to provide even higher-resolution patterns. The double-patterning technique that has proved successful with current DUV scanners will also be adopted for EUV, van Hout predicted.

If all goes according to plan, it means that the company should be shipping between 50 and 60 EUV lithography systems per year by 2020. And with an anticipated price tag exceeding €100 million for each of those tools, by then EUV sales alone should eclipse the company’s entire 2014 turnover.

• ASML’s stock price dipped slightly on news of the EUV system order and wider update, but the company continues to trade at record highs exceeding $103 on the Nasdaq exchange. It means that since bottoming out at around $15 in early 2009, the stock has soared in value by more than 600 per cent.

Iridian Spectral TechnologiesBerkeley Nucleonics CorporationJenLab GmbHCeNing Optics Co LtdSPECTROGON ABECOPTIKFirst Light Imaging
© 2024 SPIE Europe
Top of Page