Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

ASML recognizes EUV tool revenue

22 Jan 2014

Three EUV systems shipped in the closing quarter of 2013 as ASML begins assembly of first NXE:3350 volume production scanner.

ASML, the semiconductor industry’s dominant provider of the lithography systems that are critical to the continuation of Moore’s law, has now recognized its first revenues relating to the deployment of extreme ultraviolet (EUV) scanners.

Following a gestation period of several years and the company’s acquisition of key light-source supplier Cymer last year, ASML actually shipped three systems in the closing quarter of 2013. The first of those is said to be already exposing wafers being designed in readiness for the forthcoming 10 nm production “node”.

Because of the lingering difficulties associated with getting the source power, stability and reliability up to the required standard, these early-era EUV tools are actually shipping with two different sources – meaning that the Dutch company only breaks even on each sale.

That will also be the case for the eight additional tool shipments that have been scheduled for this calendar year, with two in the first half of 2014 and another six in the second half.

ASML recognizes €60 million revenue for each of the tools when the source and scanner elements – shipped separately from San Diego and Veldhoven respectively – are put together, allowing customers to image their wafers. A further €10 million customer payment on each tool is deferred until an upgrade to the source to improve productivity.

Cymer progress
The move to acquire Cymer has proved costly in the short term. It reduced ASML’s net income for fiscal 2013 by some €139 million, but CEO Peter Wennink ascribed that to the major ongoing investment still needed to develop the highly complex EUV sources.

“The effect of the [Cymer] acquisition has been very positive,” he said. “Progress has been made in EUV.”

In the past, much of the EUV development work has been focused on raising the raw power of the source to a useful level. More recently ASML’s attention has switched to ensuring that the power of the EUV source is sufficiently reliable and stable for economic semiconductor wafer exposure.

As such, the company has moved away from describing progress in terms of EUV source output power, preferring to use the more production-oriented metric of wafers-per-hour throughput instead.

Currently, Wennink said, the sources are able to support production of 50 wafers per hour – sufficient for development runs in preparation for the 10 nm node, although a significant increase on that will be required for truly economic volume production.

Illustrating the importance of source stability, the CEO added: “You don’t necessarily want to run at 90 wafers per hour but only thirty per cent of the time. That might not be very economic.”

“Historically the [EUV] issue was about power. That’s not the point any more. We can get the power,” he said, adding that ASML was now starting assembly work on its first EUV tool designed for true volume production, which it calls the NXE:3350. A new EUV clean room extension is also now under construction in Veldhoven.

Cleaner source optics
One key element of the EUV source industrialization that will be used in the NXE:3350 is a new in situ system for cleaning the mirrors inside the source, which become contaminated with tin droplets over time. In the source, the tin produces EUV radiation when it is hit by a beam from a high-power carbon dioxide laser.

Until now, the EUV source optics could only be cleaned by removing them from the source – with an inevitably detrimental impact on source uptime and economic production.

Alongside the challenges of EUV, ASML has also been developing a new deep-UV immersion tool that can produce critical layers in wafer designs for the 10 nm node by incorporating multiple scans.

ASML decided to develop the NXT:1970Ci tool as a stop-gap option in the event that EUV source problems turned out to be unsurmountable, but Wennink stressed that they would pose no threat to the future deployment of EUV lithography.

“[It] protects the industry from a hiccup in the heartbeat of Moore’s law,” said the CEO. “The technical lifetime of DUV lithography is limited.”

For fiscal 2013 overall, ASML posted net income of just over €1 billion on total sales of €5.25 billion. That was partly thanks to a sales record of €1.85 billion in the closing quarter of the year as demand from makers of DRAM memory chips rebounded. The need for additional capacity is being driven by mobile handset storage requirements.

Following the quarterly financial update, ASML’s stock price rose initially by around 5 per cent, increasing the market capitalization of the firm to some $40 billion on the Nasdaq exchange.

Hyperion OpticsFirst Light ImagingIridian Spectral TechnologiesCeNing Optics Co LtdLaCroix Precision OpticsIDS Imaging Development SystemsOptikos Corporation
© 2024 SPIE Europe
Top of Page