Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
Menu
Photonics World

Laser pre-pulse proves key to EUV ramp

18 May 2012

ASML has begun assembling EUV tools for high-volume chip manufacturing. But are the troublesome light sources ready?

EUV tool inspection at ASML

The world of extreme UV (EUV) lithography is not for the faint-hearted. Some have even likened the scale of the challenges faced in developing this most precise of technologies to those of putting a man on the Moon in the 1960s.

It’s not hard to see why: producing EUV radiation in the clean, controlled and reliable manner demanded by a semiconductor fab looks most likely to rely on the seemingly crude method of repeatedly hitting a stream of tin globules fired out of a droplet generator with the kind of laser that is more typically used to cut through inch-thick steel.

This is the laser-produced plasma (LPP) method. Imagine a microscopic clay-pigeon shoot, only one that fires out thousands of clays per second, each of which must be hit, twice, in its exact center, and whose debris has to shower out in exactly the same pattern every time, and you get the general idea.

Not surprisingly, views have become polarized: for its proponents, EUV is how chip features will continue to be shrunk for the next decade. Others have maintained that this could be one of the most expensive wastes of time and money ever embarked upon in the name of technology development.

At last, it looks like real progress is being made. Last month, the lithography tool giant ASML said that it was starting to put together its first EUV systems for high-volume manufacturing (HVM) applications, with initial shipments scheduled for the end of this year.

Price tag
The extraordinary price tag of the equipment – a “bare bones” price of €65 million before add-ons per tool, says ASML, while figures as high as $125 million have been mentioned – is a reflection of just how much developmental effort EUV has required, and ASML’s executives have often sounded defensive in the past when pressed on the topic. But in the past month their tone has become more upbeat and relaxed, and they now expect to recognize revenue for their first ten EUV production tools next year.

Inevitably, photonic components play a number of key roles in these tools, the most obvious being the high-power CO2 lasers needed to drive the EUV-emitting plasma and some of the highest-precision mirrors ever manufactured (because of the extremely short wavelength of EUV light, only reflective optics can be used).

But the development has other, less obvious, implications beyond a major new market opportunity for the likes of Trumpf and Zeiss. For example, the infrared optics company II-VI has developed new diamond windows that are required to handle the extreme laser powers involved – in place of more conventional ZnSe optics – while beam expanders and optics for handling the large-diameter beams are also critical.

So a significant optical component market is riding on the successful introduction of EUV lithography. However, there is no question about what has been the main stumbling block in its development: the output power and reliability of the light source itself. And this is where key design advances – including the important laser pre-pulse stage – are now coming to the fore.

Power requirements
Each year at SPIE’s Advanced Lithography event, the key players in EUV source development – Cymer, Gigaphoton and XTREME Technologies (Ushio) – come to report their latest progress. With ASML’s production schedule looming, this year’s event, which took place in February, took on an added urgency.

Ultimately, ASML requires 250 W of EUV power at intermediate focus (IF, representing the power of light reaching a semiconductor wafer) to produce a lithography scanner capable of patterning up to 125 wafers per hour (wph). At the moment, that is out of the question. Instead, ASML’s credibility is riding on a successful initial implementation of the technology in 2013, for which it has said it needs an upgradeable 100 W source that will support 60 wph by the end of this year.

At the SPIE conference, Cymer, the most advanced of the EUV source developers, reported a 50 W average power at IF, with a duty cycle of 80%. Clearly, that’s still some way short of ASML’s target, but the San Diego company does believe it is now on track to deliver HVM sources, adding that in tests with a higher-power (28 kW) CO2 laser and the additional “pre-pulse” laser it has shown a maximum 160 W output at a low duty cycle.

Mike Lercel, Cymer’s senior director of EUV product marketing, recently told optics.org that the company had delivered “about a ten-fold improvement in key performance indicators” in the past year with its LPP EUV source, with major advances in collector lifetime and system uptime. However, the sources that Cymer has deployed in the field are still operating at a much lower power than that required for HVM – an upgrade in source power to 20 W originally slated for December 2011 was delayed by a couple of months.

Lercel sees the 50 W achievement as a key benchmark, arguing that after this power level is reached Cymer’s source becomes much more easily scalable. In particular, integrating the pre-pulse technology, which improves the overall conversion efficiency of the source by making the tin droplets expand just before the main laser pulse strikes, will be the biggest factor in moving from 50 W to 100 W.

Cymer video: "how EUV works"

“Wattage no longer the issue”
Speaking at the JP Morgan Technology, Media and Telecom investor conference in Boston earlier this week, ASML’s CFO Peter Wennink admitted that Cymer’s was “literally, the only viable source” available for ASML to integrate into its initial HVM tools.

But Wennink also suggested that the focus on output power was no longer the company’s main concern. “Wattage is not the issue,” he said. “The issue is how can you make [the source] more reliable. The tool needs to work 75% of the time, and our focus today is to get reliability up – not so much the power.”

“People were really worried about the wattage, because [it] drives productivity, but we’ll get there. All the tools that we ship will be upgradeable,” the CFO added.

In terms of output power, things are certainly less advanced over at Gigaphoton at present. But the Japan-based company says that it is biding its time, with a view to entering the market at a later stage - and with what it considers to be a superior solution.

According to Phil Alibrandi – now at Gigaphoton after previous stints at both Cymer and ASML – the key is to get the source conversion efficiency higher. At the moment, Gigaphoton’s sources can deliver a conversion efficiency of close to 4% (it reported 3.8% at the SPIE event). The commercial target is 5%, and this improvement will be largely due to ensuring that the drive laser hits each and every droplet optimally.

The key to this is in the pre-pulse technology. Gigaphoton uses a separate laser – a solid-state YAG emitting at 1 µm – for its pre-pulse, turning the droplet into more of a mist. Interestingly, the company has patented this method, while Cymer will not reveal publicly which type of laser or wavelength it is using for its pre-pulse step.

Alibrandi believes that Cymer is likely using a CO2 laser for both the pre- and main pulses, which may give Gigaphoton an advantage because the 10.6 µm pre-pulse generates unwanted ionization at the wrong stage in the process. He explains that the pre-pulse is intended only for pure thermal expansion.

Tin droplet “nemesis”
Where both companies have encountered major difficulties is in the tin droplet generator. Alibrandi says that droplet instability has been the major bottleneck for Gigaphoton, describing this as the source’s “nemesis”.

“It’s all about hitting your target,” he said. But getting the generator’s nozzle to behave reliably, so that the tin target appears in the same place each time and is easier to hit, has been a key engineering problem. The droplets of tin that emerge from the generator's nozzle measure just 20 µm in size. The smaller size (compared with Cymer’s design) helps with debris mitigation, but also means that any tiny specks of debris in the nozzle will send the droplets veering away from their intended path.

Alibrandi says that Gigaphoton has improved matters by developing a droplet-steering technique and optimizing droplet spacing (repetition rate) to yield more consistent EUV conversion. “We are now hitting more than half of the droplets,” he told optics.org - suggesting that significant further improvements can still be made.

Even so, it is clear that Gigaphoton is playing catch-up. “We’re a year behind Cymer, in terms of getting this [out] to the world,” Alibrandi said. The Japanese firm has a 7 W upgradeable source in the field. It had originally been due to deliver a 50 W source to ASML last year, but that did not happen and the delivery schedule initially slipped to the current quarter. That has since been put back further, with ASML now set to receive an upgradeable 50 W source from Gigaphoton in the final quarter of this year – by which time the first HVM EUV tools featuring Cymer’s sources should be en route to ASML’s lead customers.

Foundry first
At the JP Morgan investor conference, Wennink said that demand for EUV tools would be led initially by its foundry customers, with the technology being used for certain critical – but by no means all - layers within each customer’s process.

As the power of the sources and the wafer throughput enabled steadily increases, from a likely 30-40 wph initially to 70 wph by the start of 2014, and 125 wph by mid-2015, more layers will become economically viable. The CFO says that “full-blown adoption” of EUV – and with it a large boost to ASML’s sales figures - should result after that 2015 target is met.

While Cymer’s source is clearly the only near-term option for ASML, by the time that “full-blown adoption” phase arrives, things could look different. Ushio has supplied discharge-produced plasma (DPP) sources for ASML’s pre-production 3100 EUV lithography tool in the past, and has reached a 30 W output at IF, with better stability than the current LPP options.

Ushio’s current architecture simply doesn’t fit ASML’s 3300 HVM stepper, but Wennink is by no means dismissing the possibility of the laser-assisted DPP source coming back into the reckoning, saying that this could happen in late 2013.

So ASML must go with Cymer for its initial tools, while Gigaphoton and Ushio wait in the wings for market entry some time next year. The pertinent question, thinks Alibrandi, is whether chip manufacturers are prepared to accept a tool offering lower-power EUV than they were promised, and wait for source upgrades.

Wennink’s recent comments suggest those manufacturers are willing to accept that compromise, at least initially. But the real proof of EUV’s breakthrough still relies on ASML providing a tool capable of reliably patterning 60 wafers per hour.

There’s no doubt that Cymer has made giant leaps in source development over the past 12 months. But with the reliance on a single source provider for its initial tools, and tough targets still to be met, a timely introduction by ASML is not quite a foregone conclusion yet.

As Gigaphoton’s Alibrandi says: “We’ll know what’s going on by the fall. [And] if ASML is beating on my door by then, we’ll know something is up.”

About the Author

Mike Hatcher is the Editor in Chief of optics.org

Omicron-Laserage Laserprodukte GmbHIridian Spectral TechnologiesTRIOPTICS GmbHBerkeley Nucleonics CorporationABTechJenLab GmbHECOPTIK
© 2024 SPIE Europe
Top of Page