Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

ASML's EUV systems pattern new Samsung and TSMC chips

17 Apr 2019

Progress with upgraded equipment and EUV tool optics will see lithography technology migrate into memory chip production.

Despite wider macroeconomic uncertainty, demand for ASML’s advanced lithography tools remains steady, with key customers including Samsung and TSMC revealing plans to deploy extreme ultraviolet (EUV) tools in future chip production.

Executives at Netherlands-headquartered ASML have just reported what they described as a “modest” opening quarter to the year in terms of sales, but reassured investors that their outlook for the rest of the year remained unchanged.

“We see accelerating growth through 2019 on the back of significant technology transitions, primarily in logic,” said ASML’s CEO Peter Wennink, adding that production with EUV equipment would be boosted later this year as the company introduces its new “3400C” tool.

New optics enabling higher transmission of EUV light means that the new systems should be able to pattern at a higher throughput - in turn helping ASML’s profitability, as the company can price the tools to reflect the additional performance. That higher throughput will make EUV more viable for memory chip production, with the advanced technology having thus far been used to make logic devices.

“The first set of NXE:3400C optics are in the factory,” announced ASML. “These higher transmission optics will enable the higher throughput of 170 wafers per hour. This is expected to deliver cost effective shrink for both logic and DRAM [memory]. The system is expected to be available to our customers in the second half of 2019.”

Laser-powered chip production
At €2.23 billion, ASML’s Q1 revenues were in fact slightly down on the same period last year, although the shipment of one more EUV tool than expected pushed the sales figure above prior guidance.

The company expects to ship 30 EUV tools in total this year, and for that number to increase to between 33 and 35 in 2020. Since each of the production-level EUV tools, which are based around hugely powerful carbon dioxide laser systems provided by Trumpf, sells for upwards of €100 million, EUV sales will start to represent a significantly larger proportion of ASML’s total.

With lead times for the EUV equipment being steadily cut from two years to only 12 months, Wennink and colleagues expect orders for EUV tools shipping in 2020 to be loaded towards the back end of this year.

Meanwhile, some of ASML’s key customers have publicly reiterated their commitment to the new technology. Samsung says that it has now completed the development of its EUV process for manufacturing so-called “FinFET” transistors at the 5 nm node, while TSMC has unveiled a 6 nm process using EUV that will move into production early next year.

“Compared to 7 nm, Samsung’s 5 nm FinFET process technology provides up to a 25 per cent increase in logic area efficiency with 20 per cent lower power consumption or 10 per cent higher performance as a result of process improvement,” announced Samsung. “Like its predecessor, 5 nm uses EUV lithography in metal layer patterning and reduces mask layers while providing better fidelity.”

‘Surging demand’
Last October, Samsung said that it was ready for initial production with the 7 nm process, its first to feature EUV patterning. Devices made using that process are now in mass production, while customized chips made with a more advanced 6 nm process have progressed to the tape-out stage.

Charlie Bae, executive VP for Samsung’s foundry business, said in a release from the Korean technology giant: “In successful completion of our 5 nm development, we’ve proven our capabilities in EUV-based nodes. In response to customers' surging demand for advanced process technologies to differentiate their next-generation products, we continue our commitment to accelerating the volume production of EUV-based technologies.”

Bae predicted that the EUV-patterned devices would be in high demand for emerging applications in 5G, artificial intelligence, high-performance computing, and the automotive sector.

Samsung’s EUV-based process technologies are currently being manufactured at the firm’s S3-line foundry location in Hwaseong, Korea. The company says it will expand that output with a new EUV line at the same site that is expected to be completed by the end of 2019, with a production ramp in 2020.

• Following ASML's financial update, the company's stock price remained steady at just over $200 on the Nasdaq exchange. Close to the record high of $221 reached last summer, the current price equates to a market capitalization of nearly $85 billion.

ECOPTIKMad City Labs, Inc.Omicron-Laserage Laserprodukte GmbHSPECTROGON ABJenLab GmbHOptikos Corporation Alluxa
© 2024 SPIE Europe
Top of Page