Optics.org
KO
KO
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
Menu
Historical Archive

Routes to future chips converge on EUV

17 Jun 2002

Lithography's future image will be etched with extreme ultraviolet light and Europe and the US are rushing to develop the technology. Vanessa Spedding finds out more.

From Opto & Laser Europe March 2002

If Moore's Law is to hold true, the number of transistors on a chip must continue to double every 18 months, and chip features must shrink at an equivalently exponential rate. Small wonder that as 248 nm ultraviolet lithography approaches the limit of its potential, long and complex discussions have been held within the industry concerning which route to go down next.

A consensus on methods for the next five years has been reached and migration to 157 nm lithography is well progressed, despite some remaining challenges concerning the supply and birefringence of the CaF2 transmission optics.

A broader issue in the lithography community, however, has been which method to back beyond 157 nm - that is, which technique will produce sub-50 nm features. A number of high-investment projects exploring the use of electron-beam, ion-beam and X-ray lithography have been under way for several years. A workshop held last year by the global semiconductor manufacturer consortium International Sematech, however, suggested that extreme ultraviolet (EUV) lithography is more likely to become the method of choice. Attendees singled out EUV lithography and electron projection lithography (EPL) as the main contenders.

Although the introduction of EUV would signal the end for traditional optical lithography, it is nevertheless bringing new business to Europe's optics players.

Also known as soft X-ray lithography, EUV employs radiation of a wavelength so short (13 nm) that normal optical lenses become opaque and alternative, reflective methods of focusing and masking must be used. But EUV can produce features as small as 30 nm and processors built using EUV technology are expected to reach speeds as great as 10 GHz.

Work on EUV lithography was initiated several years ago by a US consortium headed by Intel. The consortium, called the EUV LLC, has industrial partners including AMD, Micron Technology, Motorola, IBM and Infineon. Researchers from the Lawrence Berkeley, Lawrence Livermore and Sandia laboratories - collectively known as the Virtual National Laboratory - are also involved.

In comparison with the US, Europe is a newcomer to the field. Just a few months ago, experts predicted that Europe was so far behind the US that it would miss out on the first wave of EUV orders. But a major influx of investment last year - much of it via the EU Medea+ programme - looks set to turn the situation around. Medea+, a pan-European programme for cooperative R&D in microelectronics under the Eureka umbrella, will run until 2008 and will invest in 38 significant microelectronic projects, of which EUV lithography is one.

At its inaugural meeting in December last year, Medea+ announced its plans to ensure that as the primary tool manufacturer, Dutch lithography giant ASM Lithography (ASML) and its European suppliers had the technology to play a part in 157 nm lithography and next-generation EUV. This means, according to one Medea+ member, around EURO 40m of investment from the EU over the next three years.

This, together with ASML's components outsourcing policy, is good news for many European optical component outfits. It is also good news for ASML, which since acquiring SVG Lithography last year is Europe's only contender for next-generation lithography tools.

ASML is involved in the work of the EUV LLC consortium as well as with European initiatives. Its ability to bridge European and US efforts strengthens its position against its only rivals - Nikon and Canon in Japan.

But although there is a sense of pulling together across the Atlantic to secure EUV's domination of the market, rivalry remains between US and European manufacturers to supply the components themselves.

Winfried Kaiser, R&D director of the lithography optics division at Carl Zeiss SMT (a Zeiss subsidiary geared to the semiconductor industry), confirmed this. He said: "The main goal of the European initiative is to promote European companies as competitive suppliers for a complete EUV lithography tool." Europe, fortunately, is strong in many of the more challenging areas of EUV lithography.

EUV optics are based on extremely high-tech multi-layered mirrors. These are coated with several dozen layers of either molybdenum and silicon or a compound of boron and carbon, and have perfectly even surfaces to a tolerance of one atom. These offer specifically engineered reflectivities at the required wavelengths and can guide the radiation with incredible precision.

Zeiss is considered a leader in this field, and is working hard on the projection and illumination systems of the scanner. The company plans to get the optics ready for late 2003, when ASML expects to have a tool up and running in Europe.

Other areas of development are the illumination source and the mask technology. A major concern is how to achieve sufficient source power to enable fast throughput on the production line. The necessary rate - 80 wafers/hour - requires 100 W of in-band radiation. Existing commercial sources only operate reliably at 10 W.

The need for rapid development has spurred an almost unprecedented level of collaboration between European firms. An "EUV light consortium" established under Medea+ has been set up to work on source development. Participants include Alcatel, Carl Zeiss, Innolite, Jenoptik, Lambda Physik, Philips and several universities.

Jenoptik and Lambda Physik have invested equal sums in a joint venture called Xtreme Technologies, headed by Uwe Stamm. "We are researching two possible ways of making these sources: the generation of a dense, hot plasma using either laser excitation or electrical excitation. Nobody knows which of these approaches will ultimately be used," said Stamm.

The Fraunhofer Institute for Laser Technology (ILT) in Aachen, Germany (which developed a reliable, cost-effective EUV source back in 1997), is also concentrating its efforts on semiconductor lithography. Willi Neff, EUV researcher at the ILT, explained: "The big question is, which approach will offer a scalable route to a high-power source for EUV lithography within the timescale of the Sematech road-map? Our challenge is to catch up with US groups such as Cymer, Plex and Sandia."

To speed up development, the ILT-AIXUV team has formed a joint-venture firm with Philips, called Philips Extreme UV. "We will be focusing on producing and collecting EUV photons, high-power electronics, thermal and mechanical engineering, metrology and integration," said Neff.

Progress is also needed in developing a defect-free, multi-layer coated mask blank and in eliminating debris produced near the source. But time is tight. Europe's prototype tool, planned for late 2003 or early 2004, must be followed by a beta tool in 2005-2006 and a full-scale production tool by 2007 to keep up with the schedule.

Noreen Harned, vice-president and manager of ASML's EUV programme, said: "ASML is the only company in Europe doing a full-field exposure tool. We are well on schedule for high-volume production in 2007. Efforts in Europe are essentially on a par with those in the US, and we are ahead of Japan."

ASML is working with no less than 130 European companies in this endeavour. Does this conflict with its American collaborations? "The net is cast in three critical areas - tool development, optics fabrication and source development," said Harned. "European efforts will concentrate on the R&D necessary to commercialize EUV lithography. ASML will then provide beta tools to the EUV LLC. We are the only manufacturer planning to provide it with beta EUV tools."But it's lucky for ASML, as well as for its European suppliers, that Europe jumped on the EUV bandwagon when it did - it needs all the help it can get. The deal Intel has struck with the EUV LLC will give it the first of the machines produced. Industry sources hint that Intel is using its dominant position to insist on tight delivery timelines from ASML so that it can ensure early adoption of EUV lithography, giving it a market advantage over competing technologies.

ASML and its partners will therefore have been heartened to see the EUV LLC unveiling its first prototype tool last year. That tool is not making circuits, but is proving the basic principles of EUV lithography. Don Sweeney, EUV project manager for the VNL, told OLE: "It prints easily at 70 nm and 50 nm is routine. With a few tricks we can get features down to 35 nm. We have demonstrated a machine that works and stays aligned, which is quite a feat. But challenges remain: the source; a defect-free mask; control of the environment inside the tool [so that it is pollution-free]; and commercial fabrication of the optics."

The EUV LLC team have more than 180 patents and patent applications for the optical system, mount, masks and multilayers, and interferometry. The plan, says Sweeney, is to keep developing these aspects of the technology while allowing commercial contractors to come up with solutions to some of the component problems. He is watching the transatlantic jockeying with interest. "Small firms can address some of these issues. A small company could make the source, for example. They all want a patent, of course. The race is on."

LASEROPTIK GmbHSPECTROGON ABMad City Labs, Inc.Berkeley Nucleonics CorporationCeNing Optics Co LtdHÜBNER PhotonicsLaCroix Precision Optics
© 2024 SPIE Europe
Top of Page