Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

ASML boosted by extreme UV orders

20 Jul 2016

Four orders for production EUV lithography systems placed in latest quarter, as TSMC reveals its plans to deploy the technology.

The semiconductor lithography equipment market leader ASML says it has received orders for four more of its extreme ultraviolet (EUV) systems.

The announcement comes just a week after foundry giant TSMC said it planned to be using the laser-driven technology “extensively” in volume production, although that is only likely to be from 2020 onwards.

CEO Peter Wennink said in ASML’s financial statement for the latest quarter that the new EUV orders came from foundry and memory chip manufacturers, and were intended for volume production.

It increases ASML’s EUV backlog to ten systems, which will ultimately be equivalent to around €1 billion in sales revenues.

Eyes on another record year
With additional orders anticipated in the second half of 2016 it means that the Veldhoven, Netherlands, company should post a record-breaking sales figure for the year - although that depends upon the exact timing of shipments and upgrades to the laser-powered EUV sources to enhance production throughput, which in turn determine the timing of revenue recognition.

ASML’s sales figure of €1.7 billion in the latest quarter included around €100 million relating to EUV systems that were originally shipped in late 2015.

“We now expect our full-year 2016 sales to exceed our 2015 record year,” Wennink said. “The ultimate level will depend on the timing of our EUV revenue recognition and the size of the combined 10/7 nanometer node ramp.”

During its own quarterly update last week, TSMC’s president and co-CEO Mark Liu said: “We plan to extensively use EUV lithography in 5 nm [node production to] improve density, simplify process complexity and reduce cost. The 5 nm risk production qualification in [the] first half [of] 2019 remains unchanged.” The volume production ramp is scheduled for the following year.

Liu added that the earlier 7 nm node was being used as a development vehicle for EUV. “Currently we are running four state-of-the-art EUV scanners for EUV infrastructure development,” he told investors. “We will move in another two EUV high-volume production tools, that is NXE3400 [ASML’s full production scanner], in [the] first quarter [of] 2017.”

Aggressive 7nm schedule at TSMC
However, with TSMC planning to ramp its first 7 nm node production by the middle of 2017, Liu also said that EUV would “definitely not be ready” for that aggressive scheduling – although subsequent 7 nm ramps could adopt EUV if it is deemed cost-effective by then.

“Our customer product tape-out will happen in the first half next year. [We] definitely cannot put our customer at risk [by] using EUV [at 7 nm],” Liu said, adding: “Should EUV become cost-effective earlier, say around 2019, we believe we can still benefit from the earlier availablity of EUV for our 7 nm high-volume manufacturing.”

Speaking to ASML’s own in-house publicity team about the latest quarter, Wennink alluded to that TSMC commentary. He said:

“I think it’s clear that our customers [see] EUV as the production choice for next-generation chips. Whether you call that 7 nm or 5 nm, EUV is the thing. That’s clear.

“Several customers are now placing EUV orders, not just for logic but also the memory space. Which is good, we’re happy with this. It’s confirmation that all the hard work is finally now turning into something that is realistic and will drive the EUV introduction in 2018 and 2019.”

The ASML CEO added that with customers becoming more outspoken about their need for EUV and deployment plans, he was convinced that the next couple of quarters would see the company’s system production capacity “fill up nicely” for 2017 shipments.

Throughput progress
Key to ASML recognising full value from the shipped EUV systems is raising their productivity in terms of wafer processing throughput. The firm says that one of its production-scale NXB:3350B EUV systems has now processed 1200 wafers in one day at a customer site.

That compares with the 1500 wafers-per-day that ASML has set as a target this year. On its own system in Veldhoven, the company’s best effort now stands at 1488 wafers per day – a marginal improvement on the figure of 1350 wafers achieved three months ago, but one that suggests the target is well within reach.

In its investor presentation for the quarter, ASML pushed the message that the EUV focus was now shifting from when the technology would be deployed to how many systems, and in over what time period.

The eventual success of EUV is a critical part of the company’s plan to achieve annual revenues of €10 billion by 2020 – compared with €6.3 billion in 2015 – and significantly higher profitability.

EUV systems now account for 31 per cent of ASML’s overall order backlog, which stands at a grand total of €3.37 billion. The next two quarters should see an acceleration in EUV system output, after only one NXE:3350B unit was shipped in each quarter of 2016 so far. ASML is expecting to ship either six or seven of the €100 million units in total this year.

The company’s planned acquisition of Taiwan-based electron-beam lithography specialist Hermes Microvision should also assist with the EUV adoption curve, accelerating the introduction of reticle defect detection in support of the EUV ramp.

That €2.75 billion deal is expected to close by the end of this year, assuming it is approved by both regulators and Hermes’ shareholders.

Rival source development
While ASML’s EUV equipment continues to make painstaking progress at customer sites, its Japan-headquartered rival Gigaphoton says that it has now built a laser-driven EUV light source producing the benchmark 250 Watt output at 13.5 nm that is seen as necessary for volume production.

Timing its announcement to coincide with last week’s Semicon West trade show in San Francisco, Gigaphoton also highlighted the 4 per cent conversion efficiency of its source – relatively high for the laser-driven plasma approach, which in the past has been likened to using a nuclear facility to power a small village.

Company CTO Hakaru Mizoguchi said: “Our success in achieving 250 Watt output at 4 per cent conversion efficiency serves to demonstrate how very close we are to perfecting an EUV light source that will achieve the high output rates, while delivering stable operation at low running costs, which the semiconductor manufacturers have long waited for.”

In comparison, TSMC’s Liu said that the foundry firm had recently incorporated a 125 Watt EUV source on its NXB:3350 tool.

Although it did not comment on the stability of its EUV source at the 250 Watt level, Gigaphoton did claim continuous operation for 119 hours at the lower output of 130 Watts.

Although Gigaphoton’s latest achievement does look promising, ASML points out that the true test of EUV technology is in its wafer exposure throughput – something that relies on source stability, reliability, and uptime, as well as raw output power.

The Gigaphoton and ASML EUV light sources are very similar in concept, each relying on a combination of lasers fired at a stream of tin droplets to generate the short-wavelength radiation. While ASML works closely with Germany's Trumpf, Gigaphoton has joined forces with Mitsubishi Electric.

AlluxaBerkeley Nucleonics CorporationUniverse Kogaku America Inc.SPECTROGON ABLASEROPTIK GmbHFirst Light ImagingTRIOPTICS GmbH
© 2024 SPIE Europe
Top of Page