Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

ASML buys billion-euro stake in Zeiss subsidiary

04 Nov 2016

Investment in Zeiss SMT division intended to help development of high-numerical-aperture optics for extreme ultraviolet lithography.

Lithography company ASML is to invest €1 billion cash in a subsidiary of Zeiss, in a bid to aid the development of advanced optics needed in future extreme ultraviolet (EUV) systems.

The Carl Zeiss SMT (semiconductor manufacturing technology) division is a key player in the lithography ecosystem, providing the high-performance optics used in ASML’s scanner systems – and is described by ASML as its “most important strategic partner”.

€1 billion buys ASML a 25 per cent stake in the division, while it will also support the anticipated €760 million spend on research and capital expenditure required over the next six years to bring the high-numerical-aperture (NA) optics to full commercialization.

High-NA
ASML is already delivering EUV lithography equipment to some of the world’s leading semiconductor manufacturers, with volume production of EUV-patterned chips slated to start in either 2018 or 2019.

However, successful scaling of device features on the chips in the longer term will require optics with a higher numerical aperture than the initial generation from Zeiss.

ASML’s CEO Peter Wennink explained that although the first chips made on current EUV scanners are expected to roll off the production lines in 2018, the two companies were already looking beyond that milestone.

“We see a long and successful future for EUV lithography in advanced chip manufacturing and with this agreement we set the right conditions for development of the next generation of EUV by ASML and Carl Zeiss SMT,” Wennink added.

ASML sees high-NA optics as the key to extending the shrink of device features with EUV, helping its chip manufacturing customers to scale Moore’s law to subsequent device nodes with less complexity than would be the case with an alternative multi-patterning approach.

The initial EUV systems have an optical system with NA of 0.33, whereas the future optics will have NA in excess of 0.5, meaning that several generations of geometric chip scaling ought to be possible.

Anamorphic optics
At ASML’s investor day held at the end of October, the company’s CTO Martin van den Brink described the different high-NA concepts that could be adopted in the early 2020s, including “extreme” aspheres for better wavefront imaging, a very large final mirror, and the potential for anamorphic optics like those already used in cinematography lenses.

“High-NA is the logical next step for EUV, as it circumvents complex and expensive 0.33 NA EUV multiple patterning,” he said. “High-NA EUV is a robust way for chips to scale all the way down to the sub-3 nm logic node in a single exposure with high productivity and reduced cost per feature.”

In addition to the €1 billion investment, which should be completed by mid-2017, ASML will also support €220 million of R&D spending at Carl Zeiss SMT, along with an expected €540 million of capital expenditure and other supply chain investments over the next six years.

That investment will largely be focused on Carl Zeiss SMT’s Oberkochen headquarters in Germany, with plenty of new jobs likely to be created.

EUV progress
Hans Meiling, ASML’s VP of product management for EUV, reported at the same investor event that the wider EUV infrastructure was now viable for the forthcoming 7 nm semiconductor device manufacturing “node” in 2018, although improvements will be needed for the subsequent 5 nm node, expected from 2020.

In recent weeks ASML’s key customers have said how they plan to introduce the technology, with Samsung expecting to adopt EUV for the 7 nm node, and TSMC set to use it “extensively” in its 5 nm logic device production. Intel has said that it could deploy EUV in its 7 nm process flow – provided that uptime, availability, and throughput targets are met.

Another chip maker, GlobalFoundries, is keeping its options open at 7 nm, but CEO Sanjay Jha pointed out at the firm’s technology conference in September that if it doesn’t use EUV, more than 84 mask processing steps would be needed – highlighting the potential value of EUV for simplifying the wafer patterning process.

High-power lasers play a critical role in EUV, with 40 kW carbon dioxide systems from Trumpf currently used to produce the EUV-emitting plasmas that provide the broadband source light needed.

However, fluctuations in laser and therefore EUV source power are one of the issues that still needs to be ironed out before full volume production of semiconductor wafers with the technology begins.

Universe Kogaku America Inc.HÜBNER PhotonicsAlluxaHyperion OpticsABTechTRIOPTICS GmbHSPECTROGON AB
© 2024 SPIE Europe
Top of Page