Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

ASML to ship only three more EUV systems in 2015

14 Oct 2015

Lithography giant pushes back EUV schedule again but says that the technology remains the key to getting Moore's law back on track.

ASML now says that it will ship only four laser-driven extreme ultraviolet (EUV) lithography systems for next-generation chip manufacturing this year, although it is still confident about the ultimate success of the technology.

CFO Wolfgang Nickl discussed the progress of EUV while commenting more broadly on the firm’s latest financial results, adding that the Dutch company was still on track to post a record-breaking sales figure this year.

“We now expect that we will ship three [NXE:3350B systems] this year, on top of the one [NXE:3300] that we have already shipped in Q2,” Nickl said. The first of those is currently in progress, but the company had previously indicated that it would ship six of the production-scale systems in 2015.

“The reason for that is that our customers are somewhat prolonging the current nodes and the nodes that they are about to ramp,” Nickl explained in a corporate video produced by ASML. “So they allow themselves a bit more time to take these [EUV] systems.”

ASML’s lead customers already have two or three EUV systems in-house that they can use to fine-tune future processes, Nickl added. The CFO also said that there remained “strong signals” from customers that the technology was on track for a volume production insertion at the 7 nm node or equivalent.

Tick-tock
That insertion appears key to the semiconductor industry regaining its alignment to historical scaling advances. In July, Intel indicated that the “tick-tock” of founder Gordon Moore’s “law” would slow – in part because hold-ups with EUV meant that it was unlikely to be used to make Intel's 10 nm node chips.

Nickl said that while EUV tool productivity had progressed as expected this year – one customer has exposed 15,000 silicon wafers in four weeks with the new equipment, and met key imaging and overlay requirements – further improvements in EUV source “availability” were needed.

Expressed as a percentage, the availability figure refers to the effectiveness of the EUV system. Along with the power of the laser-driven EUV light source, it is the critical contributor to tool productivity.

“We set ourselves a target of 70 per cent, and we achieved that at multiple customers for a period of four weeks,” Nickl said. “But that is clearly the area where we have to do more work. In order to really get [EUV] into production, we’ve got to achieve way north of 80 per cent. And we’ve got to do that all the time, on all systems. There’s clearly work left to do.”

Gigaphoton progresses EUV source
As ASML continues to hone EUV technology, rival Gigaphoton claimed last week that its laser-driven sources had now demonstrated an average output power of 60 W over a 24-hour period.

Though similar to ASML’s approach, Japan-headquartered Gigaphoton’s tin droplet generator produces smaller droplets. When hit by a “pre-pulse” solid-state laser and then the main carbon dioxide drive laser, those droplets produce a broadband emission including EUV light at the key 13.5 nm wavelength required.

Gigaphoton says that it will start operation of a high-output pilot unit for EUV by the end of this year, and is still targeting an output power of 250 W for production insertion – the power thought necessary for making chips economically.

“This result demonstrates that we are very close to realizing high-power, low-cost, and stable laser-produced plasma light sources required by our customers,” said Hitoshi Tomaru, the Gigaphoton CEO.

Gigaphoton highlighted that progress at last week’s International Symposium on Extreme Ultraviolet Lithography, held in The Netherlands, although it is still a way behind ASML. In comparison, ASML’s latest NXE:3350B tools are fitted with colossal new drive lasers from Germany’s Trumpf that will produce 125 W of EUV source power.

However, the fact that a second potential vendor of EUV sources is making good progress should give some encouragement to chip makers concerned about ASML being the sole provider of the technology.

EUV: the #1 priority
ASML’s Nickl said that he remains very optimistic that the company will be able to reach its sales target of €10 billion turnover by 2020 – something that will be largely dependent on the success and widespread adoption of EUV lithography.

“Our customers want to be [back at] Moore’s law, and some of them even stated that EUV is most likely the enabler to get them on a two-year cadence again,” said the CFO. “So for us it’s very clear: the number-one priority of the company continues to be to make EUV production-ready.”

As far as this year’s sales level is concerned, Nickl and CEO Peter Wennink are still confident of delivering a record-breaking figure, even though they see a more cautious outlook from their foundry customers at present.

With third-quarter sales coming in at the expected €1.65 billion, and a closing quarter outlook of €1.4 billion, full-year revenue should come in at €6.25 billion – up from last year’s record of €5.86 billion.

• Despite that, ASML’s stock price slipped back slightly following the latest financial release – likely a result of investors having priced in a higher outlook for the closing quarter of 2015. And in spite of that slip and recent stock-market turbulence in recent weeks, the company maintains a market capitalization in excess of $37 billion.

ECOPTIKSPECTROGON ABTRIOPTICS GmbHLASEROPTIK GmbHHyperion OpticsOmicron-Laserage Laserprodukte GmbHABTech
© 2024 SPIE Europe
Top of Page