Optics.org
daily coverage of the optics & photonics industry and the markets that it serves
Featured Showcases
Photonics West Showcase
News
Menu
Business News

Cymer enters pivotal period after record year

03 Feb 2012

Meeting EUV source development targets is the top business priority in 2012 for the San Diego developer of light sources for lithography.

US-based lithography light source developer Cymer has posted its best-ever annual revenue, with sales rising 11% year-on-year to reach $594.2 million.

That figure resulted largely from the very strong start to 2011 – Cymer shipped 97 of a total 154 deep-UV light sources in the first half – although the company also saw a solid rebound in revenues in the fourth quarter.

Despite the significantly increased revenues, Cymer reported a lower profit than in 2010, with net income of $80.2 million down from $90.8 million. That is partly the result of a sharp increase in research and development spending through 2011 as the company strives to get its extreme ultraviolet (EUV) sources ready for inclusion in key customer ASML’s next-generation lithography tools.

R&D spending increased significantly in each quarter of 2011, rising from $27.8 million in the first three months of the year to $37.1 million in the closing quarter, as ASML stepped up its involvement in EUV source development in a bid to catch up on the technology’s delayed introduction.

The EUV sources under development at Cymer are based on a laser-produced plasma and the company has been working with German laser giant Trumpf as a key supplier of high-power CO2 lasers. When the lasers are fired at tin droplets in the source, the resulting plasma generates a broadband emission that extends all the way into the EUV region.

New market for Trumpf’s lasers
Speaking at a plenary session during last week’s Photonics West 2012 conference and trade show, Trumpf’s laser chief Peter Leibinger said that the emergence of EUV sources as a significant market for CO2 lasers had come as something of a surprise to the company.

“EUV was not on our radar ten years ago,” Leibinger said. “Now it is one of our most important [future] applications.” He added that the laser-driven sources were now starting to be deployed at “major semiconductor makers”, suggesting that the technology might be ahead of rival discharge plasmas – although officially ASML is still pursuing both options.

With three of its EUV sources for pre-production ASML tools now officially receiving customer acceptance, Cymer has recognized revenue on these light sources. A fourth was shipped last year and a fifth was recently installed at a chipmaker.

In an investor conference call to discuss the latest results, the company added that it had now improved the reliability of its tin droplet generator, and increased the lifetime of collectors in the source – meaning that chip manufacturers testing the systems have higher source availability. Some manufacturers are now operating systems with 8 W expose power, allowing them to produce between five and seven wafers per hour.

For economic volume chip production, a much higher throughput of around 60 wafers per hour is needed, and Cymer is under pressure to demonstrate the higher power and duty cycles needed to meet ASML’s EUV lithography scanner roadmap.

CEO shrinkage
ASML’s roadmap called for the qualification of a 20 W EUV source by the end of 2011, followed by a 50 W source by the end of the first calendar quarter and a 100 W source by the end of the second quarter, by which time it is expecting to begin assembling full-production EUV scanners at a rate of one per month. The 100 W output is needed to support the 60 wafers per hour throughput level.

But in an analyst call to discuss the latest financial results, Cymer CEO Bob Akins admitted that the 20 W milestone had slipped behind schedule. A 20 W source has been demonstrated at the firm's San Diego headquarters, but is still to be validated by ASML.

Asked about the likelihood of Cymer making the 100 W milestone by the end of Q2 this year, Akins said that this target was "not without some risk". The complexities involved in EUV source design also prompted a joke from the CEO: "Two years ago I was six-feet-six; now I'm only six-feet-four," he said. "That's because of the pressures of EUV."

The good news for Akins is that once the 20 W power level is achieved with good dose stability and collector damage control, the route to the higher powers ought to be achieved through moving from short-pulse CO2 laser operation to continuous-wave mode and the introduction of a pre-pulse from a solid-state laser to improve conversion efficiency.

Hopefully for Akins that will happen before he shrinks below six feet - and the company is set to update the community on its progress towards the 100 W target at SPIE's forthcoming Advanced Lithography conference, which begins on February 12.

In his outlook for the opening three months of 2012, Akins said: “We anticipate recognizing revenue on our fourth 3100 [pre-production] EUV source and our third TCZ [laser crystallization] system.” Looking at the year as a whole, he added: “We view 2012 as a pivotal year for advancing power and performance for 3100 fielded sources and 3300 [full production] source demand.”

Mad City Labs, Inc.HÜBNER PhotonicsCHROMA TECHNOLOGY CORP.LaCroix Precision OpticsFirst Light ImagingIridian Spectral TechnologiesCeNing Optics Co Ltd
© 2024 SPIE Europe
Top of Page